Nx586

De la Wikipedia, enciclopedia liberă.
Salt la navigare Salt la căutare

Nx586 a reprezentat pentru Nexgen Inc prima și ultima generație tehnologică a procesoarelor sale.

Executie suprascalara

Generația anterioară de procesoare x86 a furnizat doar o unitate de execuție care a executat instrucțiuni. Procesoarele superscalare Nx586 și Pentium aveau ambele două unități de execuție care permiteau performanțe ridicate în calcule. Microarhitectura RISC86 a procesoarelor Nx586 a oferit implementări foarte eficiente pentru performanțe ridicate și extensibilitate viitoare.

Harvard Code of Architecture of Cache L1

O performanță mai bună a clasei 586 s-a datorat, de asemenea, utilizării separate a codului Harvard Architecture pe cipul și memoria cache pentru memoria cache L1. Pentru a profita de cache-ul L1, procesoarele Nx586 au inclus cache de instrucțiuni de 16k și cache de date separat de 16k, spre deosebire de 8k (cache de instrucțiuni 8k și cache de date 8k) pentru Pentiums . Aceste cache păstrau instrucțiunile și cheile de date aproape de motorul de calcul pentru a crește performanța generală a sistemului.

Prognoză de ramură

Dacă procesorul poate prezice rezultatul unei instrucțiuni de salt condiționat, executarea poate continua mai repede. Procesoarele din clasa 586 includeau astfel circuite de predicție a ramurilor . Procesoarele brevetate Nx586 cu logică de predicție a ramurilor au prezis cu exactitate aceste decizii în majoritatea cazurilor, îmbunătățind astfel performanța.

Autobuz pe 64 de biți

Procesoarele din clasa 586 foloseau autobuze pe 64 de biți, de două ori mai mari decât cele din generația anterioară de procesoare. Acest autobuz mai mare mută datele mai repede și astfel îmbunătățește performanța. Procesorul Nx586 a folosit autobuze pe 64 de biți între sistem, inclusiv cele dintre procesorul Nx586 și coprocesorul Nx587, între procesorul Nx586 și între chipsetul logic al sistemului NxVL sau NxPCI.

Microarhitectura RISC86

Procesorul Nx586 a implementat pe deplin setul de instrucțiuni x86 pentru a putea rula peste 50.000 de aplicații. Această implementare se realizează prin utilizarea microarhitecturii brevetate RISC86 pentru procesoarele NexGen . Abordarea inovatoare a RISC86 traduce dinamic instrucțiunile x86 în instrucțiunile RISC86. Aceste instrucțiuni RISC86 au fost proiectate special cu suport direct pentru arhitectura x86, respectând în același timp principiile de performanță RISC. Acestea sunt astfel mai simple și mai ușor de executat decât instrucțiunile complexe x86.

Rețineți că această abordare a fost fundamental diferită de procesoarele RISC , care nu au avut niciun fel de suport pentru setul de instrucțiuni x86. Microarhitectura RISC86 conține, de asemenea, multe tehnici științifice de ultimă generație pentru a realiza cea mai înaltă performanță.

Beneficiile acestei abordări au fost diferite:

  • beneficiile de performanță ale designului RISC au fost aplicate setului de instrucțiuni x86
  • unitatea de execuție ar putea fi mai mică și mai compactă
  • unitățile de execuție ar putea fi mai specializate pentru a oferi îmbunătățiri specifice de performanță
  • ar fi fost mai ușor să adăugați mai multe unități de execuție în proiectele viitoare

Microarhitectura RISC86 nu numai că a permis procesorului Nx586 să aibă cele mai bune performanțe, dar ar permite și performanțe și mai mari în viitor.

Controler cache L2 pe cip

Spre deosebire de Pentiums , procesorul Nx586 și-a încorporat controlerul cache L2 direct pe cip. Acest lucru a asigurat că memoria cache L2 va rula întotdeauna la viteză maximă și a redus costul computerului, permițând procesorului Nx586 să ruleze la viteză maximă, cu memorie cache mai lentă și mai ieftină.

linkuri externe

( EN ) CPU-INFO: istoric și descriere

Informatică Portal IT : accesați intrările Wikipedia care se ocupă cu IT